Laboratorio #1 BCD



Se realiza implementación  de conversor BCD con display 7 segmentos, para realizar esa implementación se utilizo el programa Xilin en lenguaje VHDL y se programo tarjeta FPGA BASYS2. En las salidas se colocan compuerta negadora.


Tabla decodificador BCD

Esquemático Xilinx


Evidencia entrega Laboratorio 

Comentarios

Entradas populares de este blog

Laboratorio #2 Decodificador Alfanumerico

Prototipo banda clasificadora de cajas por tamaño en FPGA

Laboratorio#4 Public